論文

2024年

  • Tatsumu Mitsuhashi, Toshiki Kanamoto, Koutaro Hachiya, and Atsushi Kurokawa, "Transmitting coil for uniform magnetic flux density," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.68-73, Mar. 2024.
  • Kotaro Terada, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa, "Optimal inner diameter of single-layer planar spiral coils," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.155-159, Mar. 2024.
  • Tomoya Akasaka, Ichirota Takazawa, Seria Kasai, Atsushi Kurokawa, and Toshiki Kanamoto, "RESURF: Structure optimization of SiC trench MOSFET using machine learning," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.144-149, Mar. 2024./li>
  • Seria Kasai, Yamato Ishida, Fumiya Sano, Tomoya Akasaka, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, and Toshiki Kanamoto, "Energy reduction of health monitoring processor by optimizing supply and back-gate voltages with simulated annealing," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.227-232, Mar. 2024.
  • 山本周, 黒川敦, "電界結合型電力伝送システムにおける各カプラ構造による特性," 電子情報通信学会 総合大会 講演論文集, p.B-20-03, 2024年3月.
  • 一戸啓治, 黒川敦, "電流制限抵抗を用いたワイヤレス給電コイルの発熱の抑制," 電子情報通信学会 総合大会 講演論文集, p.B-20-10, 2024年3月.
  • 三ツ橋多紡, 黒川敦, "小型電子機器のワイヤレス充電用受電コイルの薄型化に関する検討," 電子情報通信学会 総合大会 講演論文集, p.B-20-11, 2024年3月.

2023年

  • Kai Sato, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa, "Compensation capacitance tunings of wireless power transfer systems using artificial neural network," IEICE Communications Express, vol.12, no.1, pp.1-4, Dec. 2023.
  • 三ツ橋多紡,黒川敦, "ワイヤレス充電用送電コイルの磁束密度均一化," 電気関係学会 東北支部連合大会 講演論文集, p.1A05, 2023年9月.
  • 大亦生悟,黒川敦, "ワイヤレス充電における受電側コイルセンサーを用いた位置検知," 電気学会 電子・情報・システム部門大会 講演論文集, pp.1073-1077, 2023年8月.
  • 小芝宏樹,黒川敦, "位置ずれを考慮したワイヤレス給電用の送電コイル径の検討," 電気学会 電子・情報・システム部門大会 講演論文集, pp.1078-1082, 2023年8月.
  • Kyosuke Kusumi, Koutaro Hachiya, Ryotaro Kudo, Toshiki Kanamoto, and Atsushi Kurokawa, "Electronic component placement optimization for heat measures of smartglasses," IEICE Electronics Express, vol.20, no.6, pp.1-6, Mar. 2023.
  • Kai Sato, Toshiki Kanamoto, Ryotaro Kudo, Koutaro Hachiya, and Atsushi Kurokawa, "Bayesian neural network based inductance calculations of wireless power transfer systems," IEICE Electronics Express, vol.20, no.5, pp.1-6, Mar. 2023.
  • Taisei Arima, Shigeru Hidaka, Ryosuke Watanabe, Tomoya Akasaka, Atsushi Kurokawa, and Toshiki Kanamoto, "A thermally optimizing method of thin film resistor trimming with machine learning," IEICE Electronics Express, vol.20, no.5, pp.1-5, Mar. 2023.
  • 佐藤快, 工藤遼太朗, 黒川敦, "BNNに基づいたWPTシステムのインダクタンス計算," 情報処理学会 東北支部研究会, vol.2022-6, no.5-1, pp.1-4, 2023年2月.
  • 工藤遼太朗, 佐藤快, 黒川敦, "均一磁場を備えた放物螺旋コイルの送電機," 情報処理学会 東北支部研究会, vol.2022-6, no.5-2, pp.1-4, 2023年2月.
  • Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa, "A parabolic spiral coil transmitter with uniform magnetic field for smart devices," IEICE Electronics Express, vol.20, no.1, pp.1-5, Jan. 2023.

2022年

  • Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa, "A bernoulli spiral coil transmitter for charging various small electronic devices," IEICE Electronics Express, vol.19, no.23, pp.1-6, Dec. 2022.
  • Kyosuke Kusumi, Koutaro Hachiya, Ryotaro Kudo, Toshiki Kanamoto, and Atsushi Kurokawa, "Electronic component placement optimization for heat measures of smartglasses," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.72-76, Oct. 2022.
  • Issei Sato, Ryotaro Kudo, Toshiki Kanamoto, Koutaro Hachiya, Shinsuke Kashiwazaki, and Atsushi Kurokawa, "Heating of foreign object in inductive wireless charging" Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.91-95, Oct. 2022.
  • Tomoya Akasaka, Shigeru Hidaka, Ryosuke Watanabe, Taisei Arima, Atsushi Kurokawa, and Toshiki Kanamoto, "A thermally optimizing method of thin film resistor trimming with machine learning," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.157-162, Oct. 2022.
  • Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa, "A parabolic spiral coil transmitter for charging multiple receivers," Proc. of the IEEE Global Conference on Consumer Electronics (GCCE), pp.229-230, Oct. 2022.
  • Kai Sato, Toshiki Kanamoto, Ryotaro Kudo, Koutaro Hachiya, and Atsushi Kurokawa, "Deep neural network based inductance calculations of wireless power transfer systems," Proc. of the IEEE Global Conference on Consumer Electronics (GCCE), pp.227-228, Oct. 2022.
  • Ryosuke Watanabe, Shigeru Hidaka, Tomoya Akasaka, Shota Kajiya, Taisei Arima, Atsushi Kurokawa, and Toshiki Kanamoto, "Enhanced laser trimming of thin film resistors dedicated to snubber for high power IGBT modules," Proc. of the IEEE International Midwest Symposium on Circuits and Systems (MWCAS), pp.1-4, Aug. 2022.
  • 工藤遼太朗, 金本俊幾, 黒川敦, "複数装置を充電可能な放物螺旋コイルの送電機," 電気学会 全国大会 講演論文集, p.4-068, 2022年3月.
  • 柏崎晋助, 金本俊幾, 黒川敦, "リング状容量性カプラを用いた電力伝送システムの特性解析," 電気学会 全国大会 講演論文集, p.4-081, 2022年3月.
  • 佐藤一世, 金本俊幾, 黒川敦, "磁界結合型ワイヤレス電力伝送システムにおける異物の発熱," 電気学会 全国大会 講演論文集, p.4-087, 2022年3月.
  • 楠美京佑, 黒川敦, "スマートグラスの熱対策のための電子部品の配置最適化," 電子情報通信学会 総合大会 講演論文集, p.A-6-2, 2022年3月.
  • 古川雄大, 黒川敦, "NNとGAを併用したDDコイル構造の最適化," 電子情報通信学会 総合大会 講演論文集, p.B-20-26, 2022年3月.
  • 葛西瀬梨亜, 畠山寛, 今井雅, 黒川敦, 金本俊幾, "低消費エネルギープロセッサのSoC物理設計," 情報処理学会 東北支部研究会, vol.2021-6, no.5-3, pp.1-3, 2022年2月.
  • Shinsuke Kashiwazaki, Koutaro Hachiya, Toshiki Kanamoto, Ryosuke Watanabe, and Atsushi Kurokawa, "Receiver coil built into belt for heat dissipation of watch-type smart devices," IEICE Electronics Express, vol.19, no.3, pp.1-5, Feb. 2022.

2021年

  • Ryotaro Kudo, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa, "Planar single-coil transmitters for efficiently charging smart devices of various receiving coil sizes," Proc. of the International Conference on Emerging Technologies for Communications(ICETC), pp.1-5, Dec. 2021.
  • Toshiki Kanamoto, Kan Hatakeyama, Seria Kasai, Masashi Imai, Atsushi Kurokawa, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, and Hideki Ishihara, "An energy efficient processor applicable to continuous SPO2 monitoring," Proc. of the IEEE Global Conference on Consumer Electronics (GCCE), pp.1-2, Oct. 2021.
  • Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa, "Thermal design technology for non-low power hearables," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.104-109, Mar. 2021.
  • Shinsuke Kashiwazaki, Kodai Matsuhashi, Motoki Ishizaki, Toshiki Kanamoto, Koutaro Hachiya, Ryosuke Watanabe, and Atsushi Kurokawa, "Using receiver coils for dissipating heat of watch-type smart devices," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.162-167, Mar. 2021.
  • Kan Hatakeyama, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, and Toshiki Kanamoto, "Energy efficient RISC-V processor for portable sensor applications," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.181-184, Mar. 2021.
  • Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Tomohiro Aoba, Ryo Arima, Atsushi Kurokawa, and Toshiki Kanamoto, "Thermally optimization of the trimming shape of thin film NiCr resistors to improve pulse durability," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.219-224, Mar. 2021.
  • 松橋功大, 今井雅, 金本俊幾, 黒川敦, "ヒアラブルデバイスの熱回路モデルと解析," 情報処理学会 東北支部研究会, vol.2020-6, no.2-1, pp.1-5, 2021年2月.
  • 有馬大生, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾, "データシートを用いたトレンチ型IGBTの素子構造推定," 情報処理学会 東北支部研究会, vol.2020-6, no.1-1, pp.1-6, 2021年2月.
  • 有馬諒, 梶谷翔太, 伊澤敬太, 渡邊良祐, 青葉智寛, 黒川敦, 今井雅, 金本俊幾, "耐パルス性NiCr薄膜抵抗器のトリミング形状に対する熱的最適化," 情報処理学会 東北支部研究会, vol.2020-6, no.1-2, pp.1-5, 2021年2月.
  • 及川大樹, 野村哲哉, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾, "TOFカメラを用いた魚体重推定," 情報処理学会 東北支部研究会, vol.2020-6, no.1-3, pp.1-6, 2021年2月.
  • 岡巧, 黒川敦, 今井雅, 金本俊幾, "LSI•パッケージ•ボード協調設計に向けたオンチップインピーダンス抽出," 情報処理学会 東北支部研究会, vol.2020-6, no.1-4, pp.1-4, 2021年2月.
  • Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Shinsuke Kashiwazaki, Kyosuke Kusumi, and Atsushi Kurokawa, "Effective methods to promote heat dissipation of wrist wearables," IEICE Electronics Express, vol.18, no.20210017, pp.1-6, Feb. 2021.

2020年

  • Toshiki Kanamoto, Koki Kasai, Kan Hatakeyama, Atsushi Kurokawa, Tomoyuki Nagase, and Masashi Imai, "A simple yet precise capacitance estimation method for on-chip power delivery network towards EMC analysis," IEICE Electronics Express, vol.17, no.14, pp.20200198, Jun. 2020.
  • Koutaro Hachiya and Atsushi Kurokawa, "Detecting resistive-open defects of TSVs in power distribution network of 3D-IC," Proc. of the IEEE Workshop on Signal and Power Integrity (SPI), pp.1-4, May 2020.
  • Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Daiki Tsunemoto, Koki Kasai, Atsushi Kurokawa, and Toshiki Kanamoto, "Effective thermal modeling of a thin film snubber resistor for power modules," Nonlinear Theory and Its Applications, IEICE, vol.E11-N, no.2, pp.253-266, Apr. 2020.
  • Koutaro Hachiya and Atsushi Kurokawa, "Testing through silicon vias in power distribution network of 3D-IC with manufacturing variability cancellation," Proc. of the Design, Automation & Test in Europe (DATE), pp.1-6, Mar. 2020.
  • Kodai Matsuhashi, Toshiki Kanamoto, and Atsushi Kurokawa, "Thermal model and countermeasures for future smart glasses," Sensors, vol.20, no.5, 1446, pp.1-20, Mar. 2020.
  • 蜂屋孝太郎, 黒川敦, "3D-ICにおける電源TSVの抵抗性オープン故障の検出手法," 電子情報通信学会 回路とシステム研究会 (CAS), vol.119, no.423, pp.37-41, Feb. 2020.
  • 葛西孝己, 今井雅, 黒川敦, 金本俊幾, "シミュレーションベースオンチップ電源容量抽出手法," 情報処理学会 東北支部研究会, vol.2019-6, no.1-1, pp.1-6, 2020年2月.
  • 常本大貴, 梶谷翔太, 伊澤敬汰, 渡邊良祐, 葛西孝己, 黒川敦, 金本俊幾, "パワーフィルム抵抗器のパルス破壊解析に向けた熱回路モデルの検討," 情報処理学会 東北支部研究会, vol.2019-6, no.1-2, pp.1-5, 2020年2月.
  • 梶谷翔太, 伊澤敬汰, 常本大貴, 葛西孝己, 黒川敦, 金本俊幾, 渡邊良祐, "耐パルス性薄膜抵抗器の有限要素法構造モデルの作成," 情報処理学会 東北支部研究会, vol.2019-6, no.1-3, pp.1-4, 2020年2月.
  • 伊藤颯汰, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾, "パワーモジュールの熱応力連成解析に向けたIGBT等価回路モデルの検討," 情報処理学会 東北支部研究会, vol.2019-6, no.2-1, pp.1-4, 2020年2月.
  • 畠山寛, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾, "LPWA通信規格LoRaを用いたセンサノードの低消費電力通信の評価," 情報処理学会 東北支部研究会, vol.2019-6, no.2-2, pp.1-4, 2020年2月.
  • 畑瀬拓実, 黒川敦, 今井雅, 金本俊幾, "メンテナンスフリーセンサーノード実現に向けた画像分析と消費電力低減の検討," 情報処理学会 東北支部研究会, vol.2019-6, no.2-3, pp.1-3, 2020年2月.
  • Yuuta Satomi, Koutaro Hachiya, Toshiki Kanamoto, Ryosuke Watanabe, and Atsushi Kurokawa, "Thermal placement on PCB of components including 3D ICs," IEICE Electronics Express, vol.17, no.3, pp.20190737, Feb. 2020.

2019年

  • Kodai Matsuhashi, Toshiki Kanamoto, and Atsushi Kurokawa, "Thermal resistance model and analysis for future smart glasses," Proc. of the International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT), pp.1-4, Oct. 2019.
  • Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa, "Thermal modeling and simulation of a smart wrist-worn wearable device," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.138-143, Oct. 2019.
  • Koutaro Hachiya and Atsushi Kurokawa, "Comparison of diagnostic performance metrics for test point selection in analog circuits," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.198-203, Oct. 2019.
  • Koki Kasai, Atsushi Kurokawa, Masashi Imai, and Toshiki Kanamoto, "Efficiency investigation of capacitors mounted on re-distribution layers for FOWLP," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.176-179, Oct. 2019. [Outstanding Paper Award]
  • Kyota Akimoto, Toshiki Kanamoto, Atsushi Kurokawa, and Masashi Imai, "Quantitative performance comparison of asynchronous and synchronous comparator," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), 296-297, Oct. 2019.
  • Ryosuke Watanabe, Keita Izawa, Shota Kajiya, Taiki Tsunemoto, Koki Kasai, Atsushi Kurokawa, and Toshiki Kanamoto, "An efficient thermal model of thin film NiCr resistors considering pulse response," Proc. of the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.164-167, Oct. 2019.
  • Motoki Ishizaki and Atsushi Kurokawa, "Repeater coil connections for WPT system with wired three coils," Proc. of the IEEE Global Conference on Consumer Electronics (GCCE), pp.1-2, Oct. 2019.
  • Koutaro Hachiya and Atsushi Kurokawa, "Variability cancellation to improve diagnostic performance of testing through silicon vias in power distribution network of 3D-IC," Proc. of the IEEE International 3D Systems Integration Conference (3DIC), pp.1-6, Oct. 2019.
  • Motoki Ishizaki and Atsushi Kurokawa, "Advantages and characteristics of a WPT system with a resonant wired-2-coil repeater," Proc. of the IEEE Asia Pacific Wireless Communications Symposium (APWCS), pp.1-5, Aug. 2019.
  • 蜂屋孝太郎, 寺岡陽, 黒川敦, "ばらつきキャンセルによるTSVオープン故障検出の診断性能向上," DAシンポジウム, pp.202-207, Aug. 2019.
  • 蜂屋孝太郎, 寺岡陽, 黒川敦, "アナログ回路のテスト箇所選択のための診断性能指標の比較," 電子情報通信学会 回路とシステムワークショップ 講演論文集, pp.228-233, Aug. 2019.
  • Yuuta Satomi, Koutaro Hachiya, and Atsushi Kurokawa, "Thermal placement optimization of packages with stacked chips," in the Taiwan and Japan Conference on Circuits and Systems (TJCAS), Aug. 2019.
  • Motoki Ishizaki and Atsushi Kurokawa, "Long-distance transfer using wired-repeater WPT system," in the Taiwan and Japan Conference on Circuits and Systems (TJCAS), Aug. 2019.
  • Koutaro Hachiya and Atsushi Kurokawa, "A method to improve diagnostic performance of testing through silicon vias in power distribution," in the Taiwan and Japan Conference on Circuits and Systems (TJCAS), Aug. 2019.
  • Toshiki Kanamoto, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Koki Kasai, Atsushi Kurokawa, and Masashi Imai, "A single-stage RISC-V processor to mitigate the Von Neumann bottleneck," Proc. of the IEEE International Midwest Symposium on Circuits and Systems (MWCAS), pp.1085-1088, Aug. 2019.
  • Koutaro Hachiya and Atsushi Kurokawa, "Open defect detection of through silicon vias for structural power integrity test of 3D-ICs," Proc. of the IEEE Workshop on Signal and Power Integrity (SPI), pp.1-4, Jun. 2019.
  • Motoki Ishizaki and Atsushi Kurokawa, "Power transfer system combining wireless resonators and wired three-coil repeater," Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS), pp.1-4, May 2019.
  • Kodai Matsuhashi and Atsushi Kurokawa, "Thermal countermeasures of glass wearable devices," Proc. of the IEEE International Conference on Consumer Electronics - Taiwan (ICCE-TW), pp.1-2, May 2019.
  • Koutaro Inaba, Tomohiro Yoneda, Toshiki Kanamoto, Atsushi Kurokawa, and Masashi Imai, "Hardware Trojan insertion and detection in asynchronous circuits," Proc. of the International Symposium on Asynchronous Circuits and Systems (ASYNC), pp.134-143, May 2019.
  • Ryosuke Kasai, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa, "Neural network-based 3D IC interconnect capacitance extraction," Proc. of the International Conference on Communication Engineering and Technology (ICCET), pp.168-172, Apr. 2019.
  • 岡本慎太郎, 松橋功大, 今井雅, 金本俊幾, 黒川敦, "リストウェアラブルデバイスのベルト放熱効果," 電気学会 全国大会 講演論文集, p.32, 2019年3月.
  • 松橋功大, 黒川敦, "メガネ型ウェアラブルデバイスの熱解析," 電気学会 全国大会 講演論文集, p.31, 2019年3月.
  • 葛西亮介, 黒川敦, "機械学習を用いたLSIオンチップ配線容量抽出," 電気学会 全国大会 講演論文集, p.30, 2019年3月.
  • 赤坂親一郎, 金本俊幾, 黒川敦, 今井雅, "遅延ばらつきを考慮した遅延線設計による束データ方式非同期式回路の高性能化," 情報処理学会 東北支部研究会, vol.2018-9, no.B4-1, 2019年2月.
  • 和島純也, 金本俊幾, 黒川敦, 今井雅, "ハードウェアトロイ無効化のための多重化システムの実装," 情報処理学会 東北支部研究会, vol.2018-9, no.B4-2, 2019年2月.
  • 稲葉光太郎, 金本俊幾, 黒川敦, 今井雅, "束データ方式非同期式回路におけるハードウェアトロイ検出手法の評価," 情報処理学会 東北支部研究会, vol.2018-9, no.B4-3, 2019年2月.
  • 葛西孝己, 黒川敦, 今井雅, 金本俊幾, "AC解析を用いたオンチップ電源分配網の容量抽出手法," 情報処理学会 東北支部研究会, vol.2018-9, no.B4-4, 2019年2月.

2018年

  • Yuuta Satomi, Koutaro Hachiya, Toshiki Kanamoto, and Atsushi Kurokawa, "Optimization of full-chip power distribution networks in 3D ICs," Proc. of the International Conference on Integrated Circuits and Microsystems (ICICM), pp.134-138, Nov. 2018.
  • Motoki Ishizaki and Atsushi Kurokawa, "Wireless power transfer system with long wired repeater," Proc. of the International Conference on Integrated Circuits and Microsystems (ICICM), pp.221-225, Nov. 2018.
  • Koudai Matsuhashi and Atsushi Kurokawa, "Thermal management for future wrist wearable devices," Proc. of the International Conference on Integrated Circuits and Microsystems (ICICM), pp.313-317, Nov. 2018.
  • Toshiki Kanamoto, Kazuaki Nomiya, Koki Kasai, Atsushi Kurokawa, Masashi Imai, and Tsuneo Munakata, "Variability in thermo-mechanical stress applied to the bonding junction of power MOSFET," Proc. of the ACM/IEEE Workshop on Variability Modeling and Characterization (VMC), pp.1-2, Nov. 2018.
  • 蜂屋孝太郎, 中野美幸, 檜物菜々美, 黒川敦, 畠中雄平, "受信者操作特性の良い電源ピン間抵抗測定によるTSVオープン故障の検出," 情報処理学会 DAシンポジウム 講演論文集, pp.148-153, 2018年8月.
  • 金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陳俊, 神藤始, "FOWLPを用いたLSIにおける再配線層上キャパシタ及びオンチップ容量の最適化," 情報処理学会 DAシンポジウム 講演論文集, pp.88-92, 2018年8月.
  • 赤坂親一郎, 金本俊幾, 黒川敦, 今井雅, "2phaseハンドシェイクプロトコルに基づく束データ方式非同期式回路のレプリカ遅延線設計," 情報処理学会 DAシンポジウム 講演論文集, pp.93-98, 2018年8月.
  • Yuuta Satomi, Koutaro Hachiya, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, and Atsushi Kurokawa, "Power delivery network optimization of 3D ICs using multi-objective genetic algorithm," Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), pp.145-148, Mar. 2018.
  • Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa, "Impact of distributing 3D stacked ICs on maximum temperature reduction," Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), pp.394-397, Mar. 2018.
  • 松橋功大,古見薫,今井雅,岡本慎太郎,金本俊幾,里見優太,黒川敦, "モバイル機器向け3D-ICの放熱促進方法," 電気学会 全国大会 講演論文集, p.26, 2018年3月.
  • 葛西孝己, 神藤始, 陳俊, 橋本昌宜, 今井雅, 黒川敦, 金本俊幾, "容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築," 情報処理学会 東北支部研究会, vol.2017-6, no.B1-1, 2018年2月.
  • 太田拓磨, 宗形恒夫, 今井雅, 黒川敦, 金本俊幾, "PowerMOS デバイス熱設計のためのボンディングワイヤモデルの構築," 情報処理学会 東北支部研究会, vol.2017-6, no.B1-2, 2018年2月.
  • 成田全, 葛西孝己, 今井雅, 黒川敦, 金本俊幾, "CMOS 回路における消費エネルギー低減のための電源電圧と閾値電圧の調節手法," 情報処理学会 東北支部研究会, vol.2017-6, no.B1-3, 2018年2月.
  • 江良祥耶, 葛西孝己, 今井雅, 黒川敦, 金本俊幾, "FPGA の信号伝搬遅延の温度依存性に関する研究," 情報処理学会 東北支部研究会, vol.2017-6, no.B1-4, 2018年2月.
  • 豊嶋太樹, 金本俊幾, 黒川敦, 今井雅, "ランダム遅延素子を用いた耐タンパ非同期式回路の設計," 情報処理学会 東北支部研究会, vol.2017-6, no.B3-1, 2018年2月.
  • 七尾健, 石川雄大, 金本俊幾, 黒川敦, 今井雅, "ビザンチンフォールトトレラントシステムの構築と実用性評価," 情報処理学会 東北支部研究会, vol.2017-6, no.B3-2, 2018年2月.

2017年

  • 金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陳俊, 神藤始, "容量配置最適化に向けた15nm世代LSI・パッケージ・ボード電源網解析モデルの構築," 情報処理学会 DAシンポジウム 講演論文集, pp.111-114, 2017年8月.
  • Seira Kamiie, Toshiki Kanamoto, Masashi Imai, Shintaro Okamoto, and Atsushi Kurokawa, "Modeling and analysis for predicting clock skew of stacked chips," Proc. of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B06, Aug. 2017.
  • Ryosuke Hatsuta, Masashi Imai, Toshiki Kanamoto, Shintaro Okamoto, and Atsushi Kurokawa, "Thermal-aware tile-based block placement for 3D ICs," Proc. of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B07, Aug. 2017.
  • Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa, "Reducing temperature by relocating 3D IC structures," Proc. of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B15, Aug. 2017.
  • Yuuta Satomi, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, and Atsushi Kurokawa, "Optimizing power distribution network using multi-objective genetic algorithm," Proc. of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B16, Aug. 2017.
  • Shintaro Okamoto, Kaoru Furumi, Masashi Imai, Toshiki Kanamoto, and Atsushi Kurokawa, "Method for mitigating heat of 3D stacked memory for small electronic devices," Proc. of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B17, Aug. 2017.
  • Ryo Sasaki, Toshiki Kanamoto, Masashi Imai, Kaoru Furumi, and Atsushi Kurokawa, "Estimating walking state when holding object in hand by using neural network," Proc. of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.2B19, Aug. 2017.
  • Shinichiro Akasaka, Toshiki Kanamoto, Atsushi Kurokawa, and Masashi Imai, "A study on replica delay circuit of bundled-data transfer asynchronous circuits," Proc. of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B10, Aug. 2017.
  • Koutaro Inaba, Toshiki Kanamoto, Atsushi Kurokawa, and Masashi Imai, "Hardware Trojan comparison between synchronous and asynchronous circuits," Proc. of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B08, Aug. 2017.
  • 葛西孝己,今井雅,黒川敦,金本俊幾,陳俊,橋本昌宜,神藤始, "容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築," 電気関係学会 東北支部連合大会 講演論文集, p.2E09, 2017年8月.
  • 太田拓磨,葛西孝己,今井雅,黒川敦,金本俊幾,宗形恒夫, "PowerMOSデバイス熱設計のためのボンディングワイヤモデルの構築," 電気関係学会 東北支部連合大会 講演論文集, p.2E03, 2017年8月.
  • 豊嶋太樹,金本俊幾,黒川敦,今井雅, "ランダム遅延素子を用いた非同期式回路の耐タンパ性向上に関する一考察," 電気関係学会 東北支部連合大会 講演論文集, p.1G04, 2017年8月.
  • Kaoru Furumi, Masashi Imai, and Atsushi Kurokawa, "Cooling architectures using thermal sidewalls, interchip plates, and bottom plate for 3D ICs," Proc. of the International Symposium on Quality Electronic Design (ISQED), pp.283-288, Mar. 2017.
  • 稲葉光太郎, 金本俊幾, 黒川敦, 今井雅, "非同期式NoCルータへのハードウェアトロイ挿入に関する研究," 電子情報通信学会 総合大会 講演論文集, p.A-7-1, 2017年3月.
  • 和島純也, 金本俊幾, 黒川敦, 今井雅, "マルチコアシステムのマルコフモデルによる信頼性評価," 電子情報通信学会 総合大会 講演論文集, p.D-10-3, 2017年3月.
  • 岡本慎太郎, 黒川敦, "数百層積層メモリにおける各パラメータの温度への影響," 情報処理学会 東北支部研究会, vol.2016-6, no.A1-2, pp.1-4, 2017年2月.

2016年

  • Daiki Toyoshima, Tatsuya Ishikawa, Atsushi Kurokawa, and Masashi Imai, "Random delay elements for tamper resistant asynchronous circuits based on 2-phase handshaking protocol," Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), pp.113-118, Oct. 2016.
  • 古見薫, 新岡七奈子, 岡本慎太郎, 今井雅, 黒川敦, "チップ外周熱伝導壁による三次元集積回路の温度低減," 電子情報通信学会 ソサエティ大会 講演論文集, p.A-6-4, 2016年9月.
  • Takeru Nanao,Atsushi Kurokawa, and Masashi Imai, "A study on byzantine fault tolerant systems using SCore cluster system software," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.2A07, Aug. 2016.
  • Kaoru Furumi, Shintaro Mizoguchi, Nanako Niioka, Masashi Imai, and Atsushi Kurokawa, "Recognition of wrist position while walking by using wearable triaxial accelerometers," Proc. of the International Technical Conference on Circuits/Systems, Computers and Communications, pp.97-100, Jul. 2016.
  • 新岡七奈子, 今井雅, 古見薫, 黒川敦, "マルチソースバッファを用いた積層チップのクロック分配方法," 電子情報通信学会 技術研究報告, VLD2016-37, vol.116, no.94, pp.167-172, 2016年6月.
  • 古見薫, 今井雅, 新岡七奈子, 黒川敦, "三次元集積回路の熱解析," 電子情報通信学会 技術研究報告, VLD2016-38, vol.116, no.94, pp.173-178, 2016年6月.
  • 豊嶋太樹, 黒川敦, 今井雅, "ランダム遅延素子を用いた耐タンパ非同期式パイプライン回路," 電子情報通信学会 技術研究報告, VLD2016-40, vol.116, no.94, pp.185-190, 2016年6月.
  • Takashi Hasegawa, Toshiki Kanamoto, Hiroaki Ammo, Masaharu Kawano,Toshikazu Fukuda, Sachiko Kobayashi, and Atsushi Kurokawa, "New EMI-noise reduction method in LSI-package-board system," Proc. of the IEEE Workshop on Signal and Power Integrity (SPI), pp.1-4, May 2016.
  • 新岡七奈子, 盛文人, 古見薫, 深瀬政秋, 黒川敦, "磁界共振結合給電における中継器の効果," 電子情報通信学会 総合大会 講演論文集, p.2, 2016年3月.
  • 古見薫, 深瀬政秋, 新岡七奈子, 黒川敦, "積層チップの電源分配のモデリングと電圧降下の解析," 電子情報通信学会 総合大会 講演論文集, p.100, 2016年3月.
  • 溝口真太郎,深瀬政秋,今井雅,古見薫,新岡七奈子,黒川敦, "ウェアラブルセンサを用いた歩行時の腕の状態認識," 情報処理学会 全国大会 講演論文集, 2016年3月.
  • 小林徹哉, 新岡七奈子, 古見薫, 黒川敦, "TSVベース3D-ICの垂直方向配線間結合容量の効率的な抽出方法," 情報処理学会 東北支部研究会, pp.A3-1, 2016年2月.
  • 古見薫, 新岡七奈子, 黒川敦, "3次元積層チップの熱解析と温度上昇抑制方法," 情報処理学会 東北支部研究会, pp.A3-2, 2016年2月.
  • 盛文人, 古見薫, 新岡七奈子, 三浦祐輝, 黒川敦, "磁気共鳴方式給電におけるコイル径・巻き数・導線径・角度の影響," 情報処理学会 東北支部研究会, pp.A3-3, 2016年2月.

2015年

  • Nanako Niioka, Masayuki Watanabe, Masaaki Fukase, Masashi Imai, and Atsushi Kurokawa, "Signal propagation delay model in vertically stacked chips," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E98-A, no.12, pp.2614–2624, Dec. 2015.
  • Nanako Niioka, Masashi Imai, Masa-aki Fukase, Yuuki Miura, Kaoru Furumi, and Atsushi Kurokawa, "Clock skew reduction for stacked chips using multiple source buffers," Proc. of the IEEE International Symposium on Communications and Information Technologies (ISCIT), pp.327-330, Oct. 2015.
  • Ryohei Tanabe, Atsushi Kurokawa, and Masashi Imai, "Performance comparison between asynchronous self-timed circuits and synchronous circuits under ultra low voltage environment," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1A08, Aug. 2015. [IEEE STUDENT AWARDS - The Best Paper Prize -]
  • Tatsuya Ishikawa, Atsushi Kurokawa, and Masashi Imai, "Peak current reduction method of digital bandpass filter using asynchronous MOUSETRAP pipeline circuits," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1A09, Aug. 2015.
  • Kaoru Furumi, Masa-aki Fukase, Masashi Imai, Yuuki Miura, Nanako Niioka, and Atsushi Kurokawa, "Thermal analysis with varying physical parameters in 3D ICs," Proc. of Annual Conference of Electronics, Information and Systems Society, IEE of Japan, pp.1688-1689, Aug. 2015.
  • 新岡七奈子, 深瀬政秋, 今井雅, 古見薫, 三浦祐輝, 黒川敦, "三次元集積回路の伝搬遅延とクロストークノイズのモデリング," 電子情報通信学会 回路とシステムワークショップ 講演論文集, pp.166-171, 2015年8月.
  • 小林徹哉, 新岡七奈子, 深瀬政秋, 黒川敦, "インダクタンス逆行列を用いた三次元集積回路の貫通シリコンビア間結合容量抽出," 電気学会 論文誌C, vol.135, no.7, pp.744-751, 2015. [優秀論文賞]
  • Toshiki Kanamoto, Takeichiro Akamine, Hiroaki Ammo, Takashi Hasegawa, Kouhei Shimizu, Yoshinori Kumano, Masaharu Kawano, and Atsushi Kurokawa, "Structure optimization for timing in nano scale FinFET," IEICE Electronics Express, vol.12, no.9, pp.20150297, Apr. 2015.
  • Kyohei Terayama, Atsushi Kurokawa, and Masashi Imai, "Scan test of latch-based asynchronous pipeline circuits under 2-phase handshaking protocol," Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), pp.128-133, Mar. 2015.
  • 小林徹哉, 今井雅, 深瀬政秋, 新岡七奈子, 黒川敦, "ウィンドウベースL 逆行列によるTSV 間容量抽出," 電子情報通信学会 総合大会 講演論文集, p.85, 2015年3月.
  • 星誠, 深瀬政秋, 今井雅, 黒川敦, "高効率遅延セルの実測による性能評価," 電子情報通信学会 総合大会 講演論文集, p.86, 2015年3月.
  • Masayuki Watanabe, Nanako Niioka, Tetsuya Kobayashi, Rosely Karel, Masa-aki Fukase, Masashi Imai, and Atsushi Kurokawa, "An effective model for evaluating vertical propagation delay in TSV-based 3-D ICs," Proc. of the International Symposium on Quality Electronic Design (ISQED), pp.519-523, Mar. 2015.

2014年

  • Masayuki Watanabe, Masa-aki Fukase, Masashi Imai, Nanako Niioka, Tetsuya Kobayashi, Rosely Karel, and Atsushi Kurokawa, "Modeling of substrate contacts in TSV-based 3D ICs," Proc. of the IEEE International Conference on 3D System Integration (3DIC), pp.1-4, Dec. 2014.
  • Masayuki Watanabe, Rosely Karel, Nanako Niioka, Tetsuya Kobayashi, Masa-aki Fukase, Masashi Imai, and Atsushi Kurokawa, "Effect of substrate contacts on reducing crosstalk noise between TSVs," Proc. of the IEEE Asia Pacific Conference on Circuit and Systems (APCCAS), pp.763-766, Nov. 2014.
  • Nanako Niioka, Masayuki Watanabe, Rosely Karel, Tetsuya Kobayashi, Masashi Imai, Masa-aki Fukase, and Atsushi Kurokawa, "Impact of on-chip interconnects on vertical signal propagation in 3D ICs," Proc. of the IEEE Asia Pacific Conference on Circuit and Systems (APCCAS), pp.607-610, Nov. 2014.
  • 今井雅,黒川敦, "高速通信非同期式回路における多入力調停回路の構成方式に関する考察," 電気学会 電子・情報・システム部門大会 講演論文集, pp.771-776, 2014年9月.
  • 寺山恭平,今井雅,黒川敦, "2Phaseハンドシェイクプロトコルに基づく非同期式回路のスキャンテスト," 電気学会 電子・情報・システム部門大会 講演論文集, pp.765-770, 2014年9月.
  • 小林徹哉,新岡七奈子,深瀬政秋,黒川敦, "インダクタンス逆行列を用いた三次元集積回路の貫通シリコンビア間結合容量抽出," 電気学会 電子・情報・システム部門大会 講演論文集, pp.759-764, 2014年9月. [奨励賞]
  • 深瀬政秋,一戸康平,黒川敦,"ユビキタスプロセッサの評価," 電気学会 電子・情報・システム部門大会 講演論文集, pp.741-753, 2014年9月.
  • Rosely Karel, Masa-aki Fukase, Masashi Imai, Nanako Niioka, Tetsuya Kobayashi, and Atsushi Kurokawa, "Substrate contact effect on TSV-to-TSV coupling," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1A04, Aug. 2014. [IEEE STUDENT AWARDS - The Encouragement Prize -]
  • Nanako Niioka, Masashi Imai, Masa-aki Fukase, Rosely Karel, Tetsuya Kobayashi, and Atsushi Kurokawa, "Modeling and analysis of vertical interconnects in 3D ICs," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1A03, Aug. 2014. [IEEE STUDENT AWARDS - The Encouragement Prize -]
  • Li Ding, Zhangcai Huang, Atsushi Kurokawa, Jing Wang, and Yasuaki Inoue, "An effective model of the overshooting effect for multiple-input gates in nanometer technologies," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E97-A, no.5, pp.1059-1074, May 2014.
  • 黒川敦, 星誠, 渡邊眞之, "ゲーテドクロックシステムへのNBTI起因デバイス劣化のインパクト," 電気学会 論文誌C, vol.134, no.3, pp.355-361, Mar. 2014.
  • 渡邊眞之, 星誠, 小林徹哉, 黒川敦, "16nm FinFET回路のパワーゲーティングスイッチの解析," 電子情報通信学会 総合大会 講演論文集, p.50, 2014年3月.
  • 小林徹哉, 星誠, 渡邊眞之, 黒川敦, "TSVベース3D-ICのシグナルインテグリティ解析," 情報処理学会 全国大会 講演論文集, pp.1_111-1_112, 2014年3月.

2013年

  • K. Miura, A. Kurokawa, K. Miyazaki, and K. Nakamae, "Design and measurement of MOSFET TEG chips for developing reliability aware EDA software," Proc. of the Nano Testing Symposium, Nov. 2013.
  • Atsushi Kurokawa, Masayuki Watanabe, Makoto Hoshi, Tetsuya Kobayashi, and Masa-aki Fukase, "Analysis of corner conditions in PVT variations and reliability degradations," Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), pp.304-309, Oct. 2013.
  • Atsushi Kurokawa, Masayuki Watanabe, Makoto Hoshi, and Masa-aki Fukase, "Reasonable circuit analysis considering comprehensively reliability and variability," Proc. of the IEEE International Symposium on Communications and Information Technologies (ISCIT), pp.282-287, Sep. 2013.
  • 星誠, 渡邊眞之, 小林徹哉, 深瀬政秋, 黒川敦, "微細LSIにおけるリーク電流が回路遅延に与える影響," 電気学会 電子・情報・システム部門大会 講演論文集, pp.858-863, 2013年9月.
  • 星誠, 渡邊眞之, 小林徹哉, 深瀬政秋, 黒川敦, "次世代LSI用微細CMOSFETの特性解析," 電気学会 電子・情報・システム部門大会 講演論文集, pp.1787-1788, 2013年9月.
  • 小田桐舞央, 今井雅, 黒川敦, 深瀬政秋, "可変クロック方式の開発," 電気関係学会 東北支部連合大会 講演論文集, p.1C04, 2013年8月.
  • Li Ding, Zhangcai Huang, Jing Wang, Atsushi Kurokawa, and Yasuaki Inoue, "An analytical model of the overshooting effect for multiple-input gates in nanometer technologies," Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS), pp.1712-1715, May 2013.
  • 宮森博也, 今井雅, 黒川敦, 深瀬政秋, "内蔵型クロック回路の開発," 電子情報通信学会 総合大会 講演論文集, p.54, 2013年3月.
  • 星誠, 渡邊眞之, 黒川敦, "クロックゲーティングによる信頼性起因クロックスキューとその対策," 情報処理学会 全国大会 講演論文集, pp.1_45-1_46, 2013年3月.
  • 渡邊眞之, 星誠, 黒川敦, "LSI信頼性の統合解析方法," 情報処理学会 全国大会 講演論文集, pp.1_41-1_42, 2013年3月.

2012年

  • Masa-aki Fukase, Kohei Ichinohe, Kazuki Narita, Tatsuya Takaki, Naomichi Mimura, Tomoaki Sato, and Atsushi Kurokawa, "Development of a next generation ubiquitous processor chip," ECTI Trans. Computer and Information Technology, pp.120-127, vol.6, no.2, Nov. 2012.
  • Li Ding, Zhangcai Huang, Minglu Jiang, Atsushi Kurokawa, and Yasuaki Inoue, "Modeling the overshooting effect of multi-input gate in nanometer technologies," Journal of Circuits, Systems and Computers, World Scientific, vol.21, no.6, pp.1240012.1-1240012.13, Oct. 2012.
  • Masa-aki Fukase, Atsushi Kurokawa, Kouhei Ichinohe, and Tatsuya Takaki, "Optimum design of the execution stage of embedded processors," Proc. of the IEEE International Symposium on Communications and Information Technologies (ISCIT), pp.538-542, Oct. 2012.
  • Atsushi Kurokawa, Tatsuya Takaki, and Masa-aki Fukase, "Efficient delay cells for wave pipelined multifunctional unit," Proc. of the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI), pp.121-126, May 2012.
  • 黒川敦, "Fabless時代のDFMを問う~設計と製造をいかに補完し合うか~," 電子情報技術産業協会 EDSFair システム・デザイン・フォーラム, 2012年11月.
  • 星誠, 渡邊眞之, 黒川敦, "ゲーテドクロックシステムへのNBTI起因デバイス劣化のインパクト," 電気学会 電子・情報・システム部門大会 講演論文集, pp.1127-1132, 2012年9月.
  • 高木竜哉, 黒川敦, 深瀬政秋, "低コスト・高品質な遅延セルの開発," 電気学会 電子・情報・システム部門大会 講演論文集, pp.1121-1126, 2012年9月. [奨励賞]
  • 一戸康平, 三村直道, 成田一貴, 高木竜哉, 佐藤友暁, 黒川敦, 深瀬政秋, "ユビキタスプロセッサのトレードオフ設計," 電気学会 電子・情報・システム部門大会 講演論文集, pp.1115-1120, 2012年9月.
  • 蝦名啓佑, 星誠, 渡邊眞之, 黒川敦, "エレクトロマイグレーションを考慮したLSI設計手法," 電気学会 電子・情報・システム部門大会 講演論文集, pp.1887-1888, 2012年9月. [優秀ポスター賞]
  • 森健, 渡邊眞之, 星誠, 黒川敦, "インバータ遅延ばらつきのモデリング," 電気学会 電子・情報・システム部門大会, pp.1885-1886, 2012年9月. [優秀ポスター賞]
  • 三村直道, 高木竜哉, 成田一貴, 一戸康平, 黒川敦, 佐藤友暁, 深瀬政秋, "ユビキタスプロセッサチップの最適設計," 電気学会 電子・情報・システム部門大会 講演論文集, pp.1775-1776, 2012年9月.
  • 渡邊眞之, 星誠, 黒川敦, "低リーク電力な新型DFF回路," 電気関係学会 東北支部連合大会 講演論文集, p.2H11, 2012年8月.
  • 星誠, 渡邊眞之, 黒川敦, "各種論理セルのNBTIによる遅延劣化解析," 電気関係学会 東北支部連合大会 講演論文集, p.2H12, 2012年8月.
  • 小谷憲, 増田弘生, 成木保文, 奥村隆昌, 城間誠, 金本俊幾, 古川且洋, 山中俊輝, 小笠原泰弘, 佐藤高史, 橋本昌宜, 黒川敦, 田中正和, "微細CMOSタイミング設計の新しいコーナー削減方法," 情報処理学会 DAシンポジウム 講演論文集, pp.193-198, 2012年8月.
  • 城間誠, 山中俊輝, 小笠原泰弘, 金本俊幾, 成木保文, 奥村隆昌, 増田弘生, 古川且洋, 佐藤高史, 橋本昌宜, 黒川敦, 田中正和, "微細プロセス(22nm世代)における配線コーナー設計手法の検討," 情報処理学会 DAシンポジウム 講演論文集, pp.199-204, 2012年8月.
  • 渡邊眞之, 星誠, 宮崎浩, 小野信任, 蜂屋孝太郎, 黒川敦, "フリップフロップのNBTI信頼性性能解," 電子情報通信学会 回路とシステムワークショップ 講演論文集, pp.325-330, 2012年7月.
  • 三村直道, 高木竜哉, 深瀬政秋, 黒川敦, "VLSIプロセッサチップのIP利用設計," 4校学術交流会, 2012年6月.

2011年

  • Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, Qiang Li, Bin Lin, and Yasuaki Inoue, "A non-iterative method for calculating the effective capacitance of CMOS gates with interconnect load effect," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E94-A, no.5, pp.1201-1209, Mar. 2011.
  • Masa-aki Fukase, Harunobu Uchiumi, Kazuki Narita, Tatsuya Takaki, Naomichi Mimura, Kohei Ichinohe, Tomoaki Sato, and Atsushi Kurokawa, "Development of a next generation ubiquitous processor chip," Proc. of the IEEE International Symposium on Intelligent Signal Processing and Communications Systems (ISPACS), pp.1-4, Dec. 2011.
  • Li Ding, Zhangcai Huang, Minglu Jiang, Atsushi Kurokawa, and Yasuaki Inoue, "Modeling the overshooting effect of multi-input gate in nanometer technologies," Proc. of the IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, Aug. 2011. [Best Paper Award]
  • 黒川敦, "タイミング解析技術の変遷と今後," IEEE Circuits and Systems Society Fukuoka Chapter, June 2011.
  • 高木竜哉, 内海晴信, 石原拓美, 深瀬政秋, 黒川敦, 佐藤友暁, "ウェーブ化MFUの最適設計," 電気関係学会 東北支部連合大会 講演論文集, p.105, 2011.

2010年

  • Kazuyuki Ooya, Yuji Takashima, and Atsushi Kurokawa, "Simple analytical formulas for estimating IR-drops in an early design stage," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E93-A, no.9, pp.1585-1593, Sep. 2010.
  • Toshiki Kanamoto, Takaaki Okumura, Katsuhiro Furukawa, Hiroshi Takafuji, Atsushi Kurokawa, Koutaro Hachiya, Tsuyoshi Sakata, Masakazu Tanaka, Hidenari Nakashima, Hiroo Masuda, Takashi Sato, and Masanori Hashimoto, "Impact of self-heating in wire interconnection on timing," IEICE Trans. Electronics, vol.E93-C, no.3, pp.388-392, May 2010.
  • Zhangcai Huang, Atsushi Kurokawa, Masanori Hashimoto, Takashi Sato, Minglu Jiang, and Yasuaki Inoue, "Modeling the overshooting effect for CMOS inverter delay analysis in nanometer technologies," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol.29, no.2, pp.250-260, Feb. 2010.
  • Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "Explicit effective capacitance model for CMOS gate with interconnect load," Proc. of the IEICE Karuizawa Workshop on Circuits and Systems, pp.257-262, Apr. 2010.
  • 黒川敦, "バッテリー管理ICの現状と今後," 電気学会 電子回路研究会, ECT10044, 6頁, 2010年3月.

2009年

  • Yuji Takashima, Kazuyuki Ooya, and Atsushi Kurokawa, "Practical redundant-via insertion method considering manufacturing variability and reliability," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E92-A, no.12, pp.2962-2970, Dec. 2009.
  • Tsuyoshi Sakata, Takaaki Okumura, Atsushi Kurokawa, Hidenari Nakashima, Hiroo Masuda, Takashi Sato, Masanori Hashimoto, Koutaro Hachiya, Katsuhiro Furukawa, Masakazu Tanaka, Hiroshi Takafuji, and Toshiki Kanamoto, "An approach for reducing leakage current variation due to manufacturing variability," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E92-A, no.12, pp.316-3023, Dec. 2009.
  • Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, Shuai Fang, and Yasuaki Inoue, "Accurate method for calculating the effective capacitance with RC loads based on the Thevenin model," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E92-A, no.10, pp.2531-2539, Oct. 2009.
  • Atsushi Kurokawa, Takashi Sato, Toshiki Kanamoto, and Masanori Hashimoto, "Interconnect modeling: A physical design perspective," IEEE Trans. Electron Devices, vol.56, no.9, pp.1840-1851, Sep. 2009. [Invited Paper]
  • Takaaki Okumura, Atsushi Kurokawa, Hiroo Masuda, Toshiki Kanamoto, Masanori Hashimoto, Hiroshi Takafuji, Hidenari Nakashima, Nobuto Ono, Tsuyoshi Sakata, and Takashi Sato, "Improvement in computational accuracy of output transition time variation considering threshold voltage variations," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E92-A, no.4, pp.990-997, Apr. 2009.
  • 高嶋裕司, 大谷一幸, 黒川敦, "製造ばらつきと信頼性を考慮した現実的な冗長ビア挿入方法," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.468-473, 2009年4月. [奨励賞]
  • 大谷一幸, 高嶋裕司, 黒川敦, "設計初期段階で電源電圧降下を見積もるための解析式," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.462-467, 2009年4月.
  • 佐方剛, 黒川敦, 奥村隆昌, 中島英斉, 増田弘生, 佐藤高史, 橋本昌宜, 蜂屋孝太郎, 古川且洋, 田中正和, 高藤浩資, 金本俊幾, "製造ばらつきに起因するリーク電流変動の低減アプローチ," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.444-449, 2009年4月.

2008年

  • Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, Na Li, and Yasuaki Inoue, "An advanced effective capacitance model for calculating gate delay considering input waveform effect," Chinese Journal of Electronics, vol.17, no.4, pp.633-639, Oct. 2008.
  • Atsushi Kurokawa, Hiroshi Fujita, and Tetsuya Ibe, "Prevention in a chip of EMI noise caused by X' tal oscillator," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E91-A, no.4, pp.1077-1083, Apr. 2008.
  • Sui Huang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "A novel SRAM structure for leakage power suppression in 45nm technology," Proc. of the IEEE International Conference on Communications, Circuits and Systems (ICCCAS), pp.1070-1074, May 2008.
  • Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "An advanced model for calculating the effective capacitance considering input waveform effect," Proc. of the IEEE International Conference on Communications, Circuits and Systems (ICCCAS), pp.1088-1092, May 2008. [Best Paper Award]
  • 奥村隆昌, 黒川敦, 増田弘生, 金本俊幾, 佐藤高史, 橋本昌宜, 高藤浩資, 中島英斉, 小野信任, "Vthばらつきに拠る出力遷移時間ばらつきの解析," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.299-304, 2008年4月.
  • 増田弘生, 大川眞一, 黄田剛, 奥村隆昌, 黒川敦, 金本俊幾, 佐藤高史, 橋本昌宜, 中島英斉, 高藤浩資, 小野信任, "チップ内システマティックばらつきと回路スキュー特性相関," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.617-622, 2008年4月.
  • Sui Huang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "A 45nm stable SRAM structure for ultra low leakage power," Proc. of the IEICE Karuizawa Workshop on Circuits and Systems, pp.635-640, Apr. 2008.
  • Minglu Jiang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "An advanced effective capacitance model considering input waveform effect," Proc. of the IEICE Karuizawa Workshop on Circuits and Systems, pp.433-438, Apr. 2008.

2007年

  • Zhangcai Huang, Hong Yu, Atsushi Kurokawa, and Yasuaki Inoue, "Modeling the overshooting effect for CMOS inverter in nanometer technologies," Proc. of the Asia South Pacific Design Automation Conference (ASP-DAC), pp.565-570, Jan. 2007.
  • 黒川敦, 大嶋潔, 木村安行, 宮下博之, 日隈裕洋, 北爪和俊, 伊部哲也, "信頼性保証のためのNBTIのモデリングとシミュレーション方法," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.13-18, 2007年4月.
  • 黒川敦, 藤田浩志, 伊部哲也, 渡辺徹, "クリスタル発振回路に起因するEMIノイズの解析とチップ内対策," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.475-480, 2007年4月.
  • 中林太美世, 黒川敦, 佐藤高史, 橋本昌宜, 増田弘生, "45-65nmノードにおける遅延ばらつき特性の環境温度依存性," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.691-696, 2007年4月.
  • 黒川敦, "バラツキのモデリング技術," 電子情報技術産業協会 EDSFair システム・デザイン・フォーラム, 2007年1月.

2006年

  • Toshiki Kanamoto, Shigekiyo Akutsu, Tamiyo Nakabayashi, Takahiro Ichinomiya, Koutaro Hachiya, Atsushi Kurokawa, Hiroshi Ishikawa, Sakae Muromoto, Hiroyuki Kobayashi, and Masanori Hashimoto, "Impact of intrinsic parasitic extraction errors on timing and noise estimation," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E89-A, no.12, pp.3666-3670, Dec. 2006.
  • Atsushi Kurokawa, Hiroo Masuda, Junko Fujii, Toshinori Inoshita, Akira Kasebe, Zhangcai Huang, and Yasuaki Inoue, "Determination of interconnect structural parameters for best- and worst-case delays," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E89-A, no.4, pp.856-864, Apr. 2006.
  • Atsushi Kurokawa, Akira Kasebe, Toshiki Kanamoto, Yun Yang, Zhangcai Huang, Yasuaki Inoue, and Hiroo Masuda, "Formula-based method for capacitance extraction of interconnects with dummy fills," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E89-A, no.4, pp.847-855, Apr. 2006.
  • Zhangcai Huang, Atsushi Kurokawa, Yun Yang, Hong Yu, and Yasuaki Inoue, "Modeling the influence of input-to-output coupling capacitance on CMOS inverter delay," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E89-A, no.4, pp.840-846, Apr. 2006.
  • Ken'ichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, and Takao Onoye, "A gate delay model focusing on current fluctuation over wide-range of process and environmental variability," Proc. of the ACM/IEEE International Workshop on Timing Issues (ICCAD), pp.47-53, Nov. 2006.
  • Shuai Fang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "Calculating the effective capacitance for interconnect loads based on Thevnin model," Proc. of the IEEE International Conference on Communications, Circuits and Systems (ICCCAS), pp.2474-2477, Jun. 2006.
  • Ken'ichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, and Takao Onoye, "A gate delay model focusing on current fluctuation over wide-range of process and environmental variability," Proc. of the ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), pp.59-64, Feb. 2006.
  • R.Inagaki, N.Sadachika, K.Konno, D.Navarro, K.Machida, Q.Ngo, C.Y.Yang, A.Kurokawa, T.Ezaki, H.J.Mattausch, M.Miura-Mattausch, and Y.Inoue, "Gate-current model for advanced MOSFET technologies implemented into HiSIM2," Proc. of the International Workshop on Compact Modeling (IWCM), pp.43-46, Jan. 2006.
  • 新開健一, 橋本昌宜, 黒川敦, 尾上孝雄, "電流変動に着目した広範囲な製造・環境ばらつき対応ゲート遅延モデル," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.559-564, 2006年4月.
  • Shuai Fang, Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "Calculating the effective capacitance for interconnect loads based on Thevenin model," Proc. of the IEICE Karuizawa Workshop on Circuits and Systems, pp.1-4, Apr. 2006.

2005年

  • Atsushi Kurokawa, Toshiki Kanamoto, Tetsuya Ibe, Akira Kasebe, Chang Wei Fong, Tetsuro Kage, Yasuaki Inoue, and Hiroo Masuda, "Efficient dummy filling methods to reduce interconnect capacitance and number of dummy metal fills," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E88-A, no.12, pp.3471-3478, Dec. 2005.
  • Atsushi Kurokawa, Masanori Hashimoto, Akira Kasebe, Zhangcai Huang, Yun Yang, Yasuaki Inoue, Ryosuke Inagaki, and Hiroo Masuda, "Second-order polynomial expressions for on-chip interconnect capacitance," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E88-A, no.12, pp.3453-3462, Dec. 2005.
  • Zhangcai Huang, Atsushi Kurokawa, Jun Pan, and Yasuaki Inoue, "Modeling the effective capacitance of interconnect loads for predicting CMOS gate slew," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E88-A, no.12, pp.3367-3374, Dec. 2005.
  • Yun Yang, Atsushi Kurokawa, Yasuaki Inoue, and Wenqing Zhao, "Efficient large scale integration power/ground network optimization based on grid genetic algorithm," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E88-A, no.12, pp.3412-3420, Dec. 2005.
  • Atsushi Kurokawa, Toshiki Kanamoto, Akira Kasebe, Yasuaki Inoue, and Hiroo Masuda, "A practical approach for efficiently extracting interconnect capacitances with floating dummy fills," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E88-A, no.11, pp.3180-3187, Nov. 2005.
  • Zhangcai Huang, Atsushi Kurokawa, Yasuaki Inoue, and Junfa Mao, "A novel model for computing the effective capacitance of CMOS gates with interconnect loads," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E88-A, no.10, pp.2562-2569, Oct. 2005.
  • Hiroo Masuda, Shinichi Okawa, Atsushi Kurokawa, and Masakazu Aoki, "Challenge: variability characterization and modeling for 65- to 90-nm processes," Proc. of the IEEE Custom Integrated Circuits Conference (CICC), Orlando, FL., pp.593-599, Sep. 2005.
  • Zhang-chai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "Effective capacitance for gate delay with RC loads," Proc. of the IEEE International Symposium on Circuits and Systems (ISCAS), pp.2795-2798, May 2005.
  • Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "Modeling the overshooting effect in the submicron CMOS Inverters," Proc. of the IEEE International Conference on Communications, Circuits and Systems (ICCCAS), pp.1191-1195, May 2005.
  • Atsushi Kurokawa, Toshiki Kanamoto, Tetsuya Ibe, Akira Kasebe, Chang Wei Fong, Tetsuro Kage, Yasuaki Inoue, and Hiroo Masuda, "Dummy filling methods for reducing interconnect capacitance and number of fills," Proc. of the International Symposium on Quality Electronic Design (ISQED), pp.586-591, Mar. 2005.
  • Atsushi Kurokawa, Masaharu Yamamoto, Nobuto Ono, Tetsuro Kage, Yasuaki Inoue, and Hiroo Masuda, "Capacitance and yield evaluations using a 90-nm process technology based on the dense power-ground interconnect architecture," Proc. of the International Symposium on Quality Electronic Design (ISQED), pp.153-158, Mar. 2005.
  • 黒川敦, "プロセスと環境のばらつきを考慮した設計技術," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.545-550, 2005年4月.
  • 黒川敦, 加瀬部彰, 楊贇, 黄章財, 井上靖秋, 増田弘生, "ダミー・フィルを考慮した解析式ベース配線容量抽出手法," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.19-24, 2005年4月.
  • 黒川敦, 増田弘生, 藤井淳子, 井下順功, 加瀬部彰, 黄章財, 井上靖秋, "遅延ベスト/ワーストとなる配線構造パラメータ,"電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.25-30, 2005年4月.
  • Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "Modeling the effective capacitance of interconnect loads for CMOS gates," Proc. of the IEICE Karuizawa Workshop on Circuits and Systems, pp.7-12, Apr. 2005.
  • Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "Modeling the influence of input-to-output coupling capacitance on the CMOS inverter delay," Proc. of the IEICE Karuizawa Workshop on Circuits and Systems, pp.13-18, Apr. 2005.
  • Yun Yang, Atsushi Kurokawa, and Yasuaki Inoue, "The efficient grid generic algorithm used in VLSI static power/ground network optimization," Proc. of the IEICE Karuizawa Workshop on Circuits and Systems, pp.37-42, Apr. 2005.
  • 増田弘生, 黒川敦, 大川眞一, 青木正和, "増大するデバイス特性ばらつきの評価とそのモデル化," (財)生産技術研究奨励会 特別研究会 低消費電力・高速LSI技術懇談会, 50頁, 2005年9月.
  • Zhangcai Huang, Atsushi Kurokawa, and Yasuaki Inoue, "Modeling the effective capacitance of interconnect loads for predicting CMOS gate slew," 電子情報通信学会 技術研究報告, CAS2005-31, pp.31-36, 2005年9月.

2004年

  • 黒川敦, 小野信任, 鹿毛哲郎, 井上靖秋, 増田弘生, "物理設計完全性のための新配線アーキテクチャ," 情報処理学会 論文誌, vol.45, no.5, pp.1251-1260, 2004年5月.
  • Zhang-chai Huang, Atsushi Kurokawa, Yasuaki Inoue, and Jun-fa Mao, "An algorithm for the effective capacitance of CMOS gate with interconnect load," Proc. of the International Symposium on Nonlinear Theory and its Applications (NOLTA), pp.103-106, Dec. 2004.
  • Atsushi Kurokawa, Toshiki Kanamoto, Akira Kasebe, Yasuaki Inoue, and Hiroo Masuda, "Efficient capacitance extraction method for interconnects with dummy fills," Proc. of the IEEE Custom Integrated Circuits Conference (CICC), pp.485-488, Oct. 2004.
  • Atsushi Kurokawa, Nobuto Ono, Tetsuro Kage, and Hiroo Masuda, "DEPOGIT: dense power-ground interconnect architecture for physical design integrity," Proc. of the Asia South Pacific Design Automation Conference (ASP-DAC), pp.517-522, Jan. 2004.
  • 黒川敦, "90nmテクノロジ以降のSoCにおける物理設計技術," 情報処理学会 VLSIシステム研究会 関西支部 支部大会, pp.127-132, 2004年12月.
  • 黒川敦, "DAC2004報告 =物理設計技術=," 電子情報通信学会 技術研究報告, VLD2004-36, pp.28-32, 2004年9月.
  • 金本俊幾, 阿久津滋聖, 中林太美世, 一宮敬弘, 蜂屋孝太郎, 石川博, 室本栄, 小林宏行, 橋本昌宜, 黒川敦, "遅延計算およびシグナルインテグリティを考慮した配線寄生容量抽出精度評価," 情報処理学会 DAシンポジウム 講演論文集, pp.265-270, 2004年7月.

2003年

  • Atsushi Kurokawa, Takashi Sato, and Hiroo Masuda, "Approximation formula approach for the efficient extraction of on-chip mutual inductances," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E86-A, no.12, pp.2933-2941, Dec. 2003.
  • 金本俊幾, 佐藤高史, 黒川敦, 川上善之, 岡宏規, 北浦智靖, 小林宏行, 橋本昌宜, "遅延計算におけるインダクタンスを考慮すべき配線の統計的選別手法," 情報処理学会 論文誌, vol.44, no.5, pp.1301-1310, 2003年5月.
  • Atsushi Kurokawa, Koutaro Hachiya, Takashi Sato, Kazuya Tokumasu, and Hiroo Masuda, "Fast on-chip inductance extraction of VLSI including angled interconnects," IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.E86-A, no.4, pp.841-845, Apr. 2003.
  • Atsushi Kurokawa, "Efficient extraction of the interconnect inductances for VLSI design," Proc. of the IEEE International Conference on ASIC (ASICON), pp.974-979, Oct. 2003.
  • Atsushi Kurokawa, Takashi Sato, and Hiroo Masuda, "Approximate formulae approach for efficient inductance extraction," Proc. of the Asia South Pacific Design Automation Conference (ASP-DAC), pp.143-148, Jan. 2003.
  • Takashi Sato, Toshiki Kanamoto, Atsushi Kurokawa, Yoshiyuki Kawakami, Hiroki Oka, Tomoharu Kitaura, Atsuhiko Ikeuchi, Hiroyuki Kobayashi, and Masanori Hashimoto, "Accurate prediction of the impact of on-chip inductance on interconnect delay using electrical and physical parameter-based RSF," Proc. of the Asia South Pacific Design Automation Conference (ASP-DAC), pp.149-155, Jan. 2003.
  • 黒川敦, 小野信任, 鹿毛哲郎, 井上靖秋, 増田弘生, "物理設計完全性を目指した配線方式," 電子情報通信学会 技術研究報告, ICD2003-193, pp.11-16, 2003年12月.
  • 黒川敦, 金本俊幾, 南文裕, 鹿毛哲郎, 増田弘生, "RLC抽出技術の最新動向," 電子情報通信学会 システムLSIワークショップ 講演論文集, 2003年11月.
  • 黒川敦, 小澤時典, "DAC2003報告 =フィジカルデザイン=," 電子情報通信学会 技術研究報告, vol.103, no.337, pp.43-48, 2003年9月.
  • 黒川敦, 金本俊幾, 佐藤高史, "オンチップ・インダクタンスとは? =モデリングと抽出技術=," 情報処理学会 DAシンポジウム 講演論文集, pp.1-6, 2003年7月.
  • 佐藤高史, 金本俊幾, 黒川敦, "VLSI 設計・信号品質解析におけるインダクタンスの影響とその重要性," 情報処理学会 DAシンポジウム 講演論文集, pp.7-12, 2003年7月.
  • 小野信任, 黒川敦, 鹿毛哲郎, 増田弘生, "Physical Design Integrityのための新配線アーキテクチャ," 情報処理学会 DAシンポジウム 講演論文集, pp.67-72, 2003年7月.
  • 岩井二郎, 町田顕, 水田千益, 南文裕, 黒川敦, 鹿毛哲郎, 増田弘生, 蜂屋孝太郎, "VLSI電源解析システムPowerSpectiveの開発," 情報処理学会 DAシンポジウム 講演論文集, pp.49-54, 2003年7月.
  • 黒川敦, "LCR抽出・解析設計技術," 電子情報通信学会 総合大会, TA-1-5, 2003年3月.

~2002年

  • 黒川敦, 蜂屋孝太郎, 佐藤高史, 徳升一也, 増田弘生, "斜め配線を含むVLSIの高速オンチップ・インダクタンス抽出," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.487-492, 2002年4月.
  • 佐藤高史, 金本俊幾, 黒川敦, 川上善之, 岡宏規, 北浦智靖, 小林宏行, 橋本昌宜, "インダクタンスが配線遅延に及ぼす影響の定量的評価方法," 電子情報通信学会 回路とシステム(軽井沢)ワークショップ 講演論文集, pp.493-498, 2002年4月.
  • 佐藤高史, 金本俊幾, 黒川敦, 川上善之, 岡宏規, 北浦智靖, 池内敦彦, 小林宏行, 橋本昌宜, "インダクタンスに起因する配線遅延変動の統計的予測手法," 電子情報通信学会 ソサエティ大会(チュートリアル), TA-2-4, 2002年9月.
  • 蜂屋孝太郎, 黒川敦, 佐藤高史, 南文裕, 増田弘生, "動的電源ノイズ解析のための電源グリッドモデル抽出," 情報処理学会 DAシンポジウム, pp.193-198, 2002年7月.
  • 金本俊幾, 佐藤高史, 黒川敦, 川上善之, 岡宏規, 北浦智靖, 池内敦彦, 小林宏行, 橋本昌宜, "0.1um級LSIの遅延計算における寄生インダクタンスを考慮すべき配線の統計的選別," 情報処理学会 DAシンポジウム 講演論文集, pp.149-154, 2002年7月. [優秀論文賞]
  • 黒川敦, 小松富士彦, 北爪和俊, 田中淳一, 畔上秀夫, "階層型IC信頼性シミュレータIRISES," 電子情報通信学会 総合大会 講演論文集, p.A-3-4, 1998年3月.
  • 黒川敦, "レイアウト検証用ジョブ制御システム," 情報処理学会 全国大会 講演論文集, p.7L-3, 1994年9月.
  • 黒川敦, "多機能回路特性解析ツール," 電子情報通信学会 秋季大会 講演論文集, p.A-61, 1994年9月.